Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Entity work.ACIA_TX

Defined in VHDL/ACIA_TX.vhd

Architectures

rtl

Instantiated in...

work.ACIA_6850 (rtl), work.twi (rtl)

Libraries and global use clauses

library ieee
use ieee.numeric_std.all
use ieee.std_logic_1164.all
use ieee.std_logic_unsigned.all

Ports

Clk inStd_Logic
TxRst inStd_Logic
TxWr inStd_Logic
TxDin inStd_Logic_Vector(7 downto 0)
WdFmt inStd_Logic_Vector(2 downto 0)
BdFmt inStd_Logic_Vector(1 downto 0)
TxClk inStd_Logic
TxDat outStd_Logic
TxEmp outStd_Logic

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6