Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Architecture RTL of work.quadcpu09

Top level file for quad Core 6809 compatible system on a chip

Defined in VHDL/quadcpu09.vhd


Detailed description

Designed for Xilinx XC3S1000 Spartan 3 FPGA. Intended for Digilent Xilinx Spartan 3 Starter FPGA board, or XESS XSA-3S1000 FPGA board.


Libraries and global use clauses

library ieee
use ieee.numeric_std.all
use ieee.std_logic_1164.all
use ieee.std_logic_arith.all
use ieee.std_logic_unsigned.all
library unisim
use unisim.vcomponents.all
library work
use work.bit_funcs.all

Type declarations

typeaddr_typeis std_logic_vector(ADDR_WIDTH-1 downto 0)
typedata_typeis std_logic_vector(DATA_WIDTH-1 downto 0)
typecpu_typeis std_logic_vector( CPU_MAX-1 downto 0)
typeaddr_arrayis array(0 to (CPU_MAX-1)) of addr_type
typedata_arrayis array(0 to (CPU_MAX-1)) of data_type

Constants

CPU_MAX integer := 4
ADDR_WIDTH integer := 20
DATA_WIDTH integer := 8

Component declarations

my_unicpu09

Processes

my_pri_rotate ( rst, clk )
Rotating priority
my_pri_mux ( pri_cnt )
Rotate VMA request
my_pri_encoder ( pri_mux )
Priority Encode Rotated VMA Request
my_bus_grant ( rst, clk )
Grant highest priority requesting processor access to the bus
my_hold_machine ( rst, clk )
Hold processor until bus cycle acknowledged

Instantiations

my_unicpu09_0 : unicpu09
Binding: work.unicpu09 (RTL)
my_unicpu09_1 : unicpu09
Binding: work.unicpu09 (RTL)
my_unicpu09_2 : unicpu09
Binding: work.unicpu09 (RTL)
my_unicpu09_3 : unicpu09
Binding: work.unicpu09 (RTL)

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6