Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Entity work.quadcpu09

Synthesizable Quad Core 6809 instruction compatible CPU Module

Defined in VHDL/quadcpu09.vhd

Author: John E. Kent
Version: 0.2 from 30th May 2010


Detailed description

quadcpu09 is a top level entity for a quad CPU09 core written in VHDL.

Still under development

Architectures

RTL


Libraries and global use clauses

library ieee
use ieee.numeric_std.all
use ieee.std_logic_1164.all
use ieee.std_logic_arith.all
use ieee.std_logic_unsigned.all
library unisim
use unisim.vcomponents.all
library work
use work.bit_funcs.all

Ports

clk instd_logic
rst instd_logic
vma outstd_logic
addr outstd_logic_vector(19 downto 0)
rw outstd_logic
data_in instd_logic_vector(7 downto 0)
data_out outstd_logic_vector(7 downto 0)
irq instd_logic
nmi instd_logic
firq instd_logic
halt instd_logic
hold instd_logic

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6