Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Entity work.clock_dll

Synthesible System Clock Divider for Xilinx Spartan 3

Defined in VHDL/clock_dll.vhd

Author: John E. Kent
Version: 1.0 from 30th May 2010

Architectures

RTL


Libraries and global use clauses

library ieee
use ieee.numeric_std.all
use ieee.std_logic_1164.all
use ieee.std_logic_arith.all
use ieee.std_logic_unsigned.all
library unisim
use unisim.vcomponents.all

Ports

clk_in instd_Logic
clk_cpu outstd_logic
clk_vga outstd_logic
clk_mem outstd_logic
locked outstd_logic

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6