Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Entity work.acia6850

Synthesizable 6850 compatible ACIA

Defined in VHDL/acia6850.vhd

Author: John E. Kent
Version: 4.4 from 2010-08-27

Architectures

rtl


Libraries and global use clauses

library ieee
use ieee.numeric_std.all
use ieee.std_logic_1164.all
use ieee.std_logic_unsigned.all

Ports

clk instd_logic
rst instd_logic
cs instd_logic
addr instd_logic
rw instd_logic
data_in instd_logic_vector(7 downto 0)
data_out outstd_logic_vector(7 downto 0)
irq outstd_logic
RxC instd_logic
TxC instd_logic
RxD instd_logic
TxD outstd_logic
DCD_n instd_logic
CTS_n instd_logic
RTS_n outstd_logic

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6