Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Package work.common

Miscellaneous VHDL constants and functions

Defined in System09_base/common.vhd

Author: Dave Vanden Bout
Version: 1.1.0 from 05/17/2005


Libraries and global use clauses

library IEEE
use IEEE.numeric_std.all
use IEEE.std_logic_1164.all

Constants

YES std_logic := '1'
NO std_logic := '0'
HI std_logic := '1'
LO std_logic := '0'
ONE std_logic := '1'
ZERO std_logic := '0'

Functions and procedures

function boolean2stdlogic (b : in boolean) return std_logic
convert a Boolean to a std_logic
function log2 (v : in natural) return natural
find the base 2 logarithm of a number
function int_select (s : in boolean; a : in integer; b : in integer) return integer
select one of two integers based on a Boolean
function real_select (s : in boolean; a : in real; b : in real) return real
select one of two reals based on a Boolean

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6