Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Entity work.trap

Synthesizable Hardware Breakpoint Trap

Defined in VHDL/trap.vhd

Author: John E. Kent
Version: 0.2 from 2010-08-09

Architectures

trap_arch

Instantiated in...

work.my_system09 (rtl)

Libraries and global use clauses

library ieee
use ieee.std_logic_1164.all
use ieee.std_logic_unsigned.all

Ports

clk instd_logic
rst instd_logic
cs instd_logic
rw instd_logic
vma instd_logic
addr instd_logic_vector(15 downto 0)
data_in instd_logic_vector(7 downto 0)
data_out outstd_logic_vector(7 downto 0)
irq outstd_logic

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6