Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Entity work.ram_24k

24K Block RAM

Defined in Spartan3/ram24k_b16.vhd

Author: John Kent
Version: 24 April 2006

Architectures

rtl


Libraries and global use clauses

library IEEE
use IEEE.STD_LOGIC_1164.ALL
use IEEE.STD_LOGIC_ARITH.ALL
library unisim
use unisim.vcomponents.all

Ports

clk instd_logic
rst instd_logic
cs instd_logic
rw instd_logic
addr instd_logic_vector (14 downto 0)
rdata outstd_logic_vector (7 downto 0)
wdata instd_logic_vector (7 downto 0)

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6