Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Entity work.ps2_keyboard

Synthesizable PS/2 Keyboard Interface

Defined in VHDL/ps2_keyboard.vhd

Authors: John Clayton, John E. Kent
Version: 2010-10-24

Architectures

rtl

Instantiated in...

work.keyboard (rtl)

Libraries and global use clauses

library ieee
use ieee.numeric_std.all
use ieee.std_logic_1164.all
use ieee.std_logic_arith.all
use ieee.std_logic_unsigned.all

Generics

CLK_FREQ_MHZ integer

Ports

clk instd_logic
reset instd_logic
rx_data outstd_logic_vector(7 downto 0)
rx_read instd_logic
rx_data_ready outstd_logic
rx_extended outstd_logic
rx_released outstd_logic
rx_shift_on outstd_logic
tx_data instd_logic_vector(7 downto 0)
tx_write instd_logic
tx_data_empty outstd_logic
tx_error outstd_logic
ps2_clk inoutstd_logic
ps2_data inoutstd_logic

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6