Home    --    Hierarchy    --    Packages    --    Entities    --    Instantiations    --    Sources

Entity work.priority_rot

Synthesizable Rotating Priority Encoder

Defined in VHDL/priority_rot.vhd

Author: John E. Kent
Version: 0.1 from 30th May 2010


Detailed description

priority_rot is a rotating priority encoder written in VHDL.

Architectures

rtl


Libraries and global use clauses

library ieee
use ieee.std_logic_1164.all
use ieee.std_logic_arith.all
use ieee.std_logic_unsigned.all
library unisim
use unisim.vcomponents.all

Generics

WIDTH integer := 8

Ports

clk instd_logic
rst instd_logic
input instd_logic_vector(WIDTH-1 downto 0)
output outstd_logic_vector(log2(WIDTH)-1 downto 0)
valid outstd_logic

Generated on 1 Jan 2018 19:48:42 with VHDocL V0.2.6